CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ram 读写

搜索资源列表

  1. DDR_FLASH_VHDL_Verilog

    1下载:
  2. FPGA DDR 外部RAM 读写的verilog代码,以及FLASH的vhdl代码-DDR SRAM READ AND WRITE VERILOG CODE ,FLASH VHDL CODE ,FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:17729
    • 提供者:rickdecent
  1. ram_verilog

    0下载:
  2. 本程序用verilog实现实现了RAM读写功能-This programe describe the properties of reading and writing ram.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:320834
    • 提供者:lipeng
  1. DS1302

    0下载:
  2. DS1302 是 DALLAS 公司推出的涓流充电时钟芯片 内含有一个实时时钟/日历和 31 字节静态 RAM 通过简 单的串行接口与单片机进行通信 实时时钟/日历电路提供秒 分 时 日 日期 月 年的信息 每月的天 数和闰年的天数可自动调整 时钟操作可通过 AM/PM 指示决定采用 24 或 12 小时格式 DS1302 与单片机之 间能简单地采用同步串行的方式进行通信 仅需用到三个口线 1 RES 复位 2 I/O 数据线 3 SCLK 串行时钟 时钟/RAM 的读/写数据以
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:192836
    • 提供者:李明
  1. GPIORAM

    1下载:
  2. 英飞凌单片机开发板验证外部RAM读写数据是否正确 -Infineon Single-chip development board external RAM read and write data to verify the correctness of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:229974
    • 提供者:张素伟
  1. n_hui3128

    0下载:
  2. 用VHDL写的一个动态RAM读写程序,包括工程文件可直接便用,多次用项目中。-Use VHDL to write a dynamic RAM reading and writing processes, including project documents can be directly used, several projects.
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:80916
    • 提供者:刘林
  1. testRAMWR

    0下载:
  2. 这是一个用VHDL编写的读写双口RAM的程序.-This is a work written in VHDL to read and write dual-port RAM program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1068406
    • 提供者:chenye
  1. sram

    0下载:
  2. 单片机写双口RAM,包括读写是否一致的自动检测-Microcontroller to write dual-port RAM, including the automatic detection of the consistency of read and write
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1596
    • 提供者:孙殿举
  1. ram

    0下载:
  2. 实现了对于SRAM的读写控制输入和输出,能够连续的进行读写操作以及能够对各种四则运算的嵌入-Achieved for the SRAM read and write control input and output, can continuous operation and can read and write all four of embedded computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2937
    • 提供者:warm
  1. at91rm9200dbram

    0下载:
  2. at91rm9200 双口ram控制器读写程序-at91rm9200 DBRAM READ/WRITE
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:17511
    • 提供者:dzq
  1. 5402PROGRAM

    0下载:
  2. 包含三个程序 CpuTimer--TIMER0定时器实验 EXRAM--扩展RAM读写实验 led_flash--LED灯闪实验-Contains three procedures CpuTimer- TIMER0 timer test EXRAM- expanded RAM read and write experimental led_flash- LED light flash experiment
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:192708
    • 提供者:yangv
  1. ram

    0下载:
  2. 用VHDL描述了RAM的读写,很好的一个小东东,要你好好学习,用于开发RAM-OK,OK,VHDL ,FPGA,RAM,WRITE AND READ ,YOU WILL LIKE IT,ARE YOU?
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:169376
    • 提供者:greetree
  1. DSPsourcecode

    0下载:
  2. codec---录音回放实验 CpuTimer--TIMER0定时器实验 EXRAM--扩展RAM读写实验 led_flash--LED灯闪实验 McBSP--MCBSP接口的立体声警报实验 TCPIP--TCP/IP,UDP实验,网卡发送接收实验 wavDEMO--歌曲播放实验,AIC23播音实验 mp3demo--MP3播放实验(适用于mp3网络语音开发板) -audio playback codec--- exper
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:537139
    • 提供者:Air
  1. 2812eepromdata

    0下载:
  2. 2812eeprom 读写程序,包括spi口配置,ram区读写,浮点数存储和读取。-2812eeprom read and write procedures, including spi port configuration, ram area to read and write, floating storage and read.
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:3124
    • 提供者:dragonflyxu
  1. read-RAM

    0下载:
  2. 读写RAM,很好用,我自己写的。 读写RAM,很好用,我自己写的。-Read and write RAM, is useful, I wrote it myself.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:612750
    • 提供者:tanli
  1. 8155program

    0下载:
  2. 当CE=0,IO/M=0时,8155只能做片外RAM使用,共256 B。其寻址范围由以及AD0~AD7的接法决定,这和前面讲到的片外RAM扩展时讨论的完全相同。当系统同时扩展片外RAM芯片时,要注意二者的统一编址。对这256 B RAM的操作使用片外RAM的读/写指令“MOVX”。 作扩展I/O用 当 CE=0,IO/M=1时,此时可以对8155片内3个I/O端口以及命令/状态寄存器和定时/计数器进行操作。与I/O端口和计数器使用有关的内部寄存器共有6个,需要三位地址来区分。-W
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:535
    • 提供者:杨洪骞
  1. DU-RAM

    0下载:
  2. 本程序是一个双口RAM的读写程序,在很多工程中,特别是存储器中运用广泛-This program is a dual-port RAM read and write procedures, in many projects, especially the extensive use of memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:15256
    • 提供者:jiankang
  1. PP_EXRAM

    1下载:
  2. DSP28XX的外部RAM编程实现,可以对外扩RAM读写,已成功验证,放心下载!-DSP28XX external RAM programming, expansion of external RAM can read and write, has been successfully verified, rest assured Download!
  3. 所属分类:DSP program

    • 发布日期:2017-05-04
    • 文件大小:1440133
    • 提供者:吴晓波
  1. read-and-write-ram

    0下载:
  2. VB读写内存,microsoft在vb方面并不推荐大家使用指针,但是并不是不能。-Read and write ram.
  3. 所属分类:.net

    • 发布日期:2017-04-01
    • 文件大小:10685
    • 提供者:Tony Q
  1. ex-3

    0下载:
  2. C51单片机RAM读写与Proteus仿真实例-The C51 microcontroller RAM read and write and Proteus simulation example
  3. 所属分类:SCM

    • 发布日期:2017-11-10
    • 文件大小:48079
    • 提供者:张曲光
  1. CODE-Easy2812

    0下载:
  2. CODE-Easy2812开发板源程序 5.1 内部定时器0实验 5.2 内部定时器2实验 5.3 事件定时器实验 5.4 数码管显示实验 5.5 蜂鸣器控制实验 5.6 外部RAM读写实验 5.7外部FLASH读写实验 5.8 GPIO控制实验 5.9外部中断控制实验 5.11 RS-232串口通讯实验 5.13 CAN总线接口实验 5.15 直流电机控制实验 5.16 步进电机控制实验 5.17 12864液晶显示实验 5.18 1
  3. 所属分类:DSP program

    • 发布日期:2017-11-10
    • 文件大小:7539694
    • 提供者:王帅
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com